北 京:(010)51292078 上 海:(021)51875830
西 安:(029)86699670 南 京:(025)68662821
成 都:(028)68802075 武 汉:(027)50767718
广 州:(020)61137349 深 圳:(0755)61280252

课程表 联系我 在线聊 报名 付款 我们 QQ聊 切换宽屏
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
   Synopsys Astro培训
   班.级.规.模.及.环.境
       为了保证培训效果,增加互动环节,我们坚持小班授课,每期报名人数限5人,多余人员安排到下一期进行。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:深圳大学成教院/ 电影大厦(地铁一号线大剧院站)【北京分部】:福鑫大楼/北京中山 【武汉分部】:佳源大厦(高新二路) 【南京分部】:金港大厦(和燕路) 【成都分部】:领馆区1号(中和大道)
最近开课时间(连续班/周末班/晚班)
Synopsys Astro培训:即将开课,详情请咨询客服。(请抓紧报名)
   实验设备
     ◆课时: 共6天,36学时

        
        ☆合格学员免费颁发相关资格证书,提升您的职业资质
        作为最早专注于嵌入式培训的专业机构,曙海嵌入式提供的证书得到本行业的广泛认
        可,学员的能力得到大家的认同

        ☆合格学员免费推荐工作
        ★实验设备请点击这儿查看★
   .最.新.优.惠.
       ◆在读学生凭学生证,可优惠500元。
   .质.量.保.障.

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Synopsys Astro培训


第一阶段

OVERVIEW

This workshop will enable the student to become proficient in using Astro to perform a timing-driven flow for placement, clock tree synthesis (CTS), routing and optimization to achieve timing closure for designs with moderate placement and routing challenges using a flat floorplan (chip or block). The main emphasis of the workshop is to learn a proven, effective flow that will achieve reasonable quality of results with fast time-to-market. The workshop covers the recommended flow steps for design set-up, floorplanning, timing set-up, placement, clock-tree synthesis, routing, optimization and design for manufacturability to achieve these results. Job aids will be provided to enable the student to recall and implement all the recommended steps back at the job.

This workshop will not cover advanced design closure features and flows such as: techniques of achieving timing closure on designs with complex or difficult placement, CTS and routing challenges, or signal integrity and power rail issues.

OBJECTIVES

At the end of this workshop the student will be able to:

  • Describe key concepts and steps associated with automatic place&route
  • Verify that all input data and information required to use Astro is available
  • Implement a floorplan including macros
  • Configure Astro for a timing driven flow
  • Perform placement, clock-tree synthesis, routing and optimization in Astro, achieving timing closure for designs with moderate placement, CTS and routing challenges, emphasizing fast time to market
  • Verify quality of results by analyzing timing and skew reports, congestion maps and other reports
  • Interface to Synthesis and sign-off STA tools

AUDIENCE PROFILE

ASIC, back-end or layout design engineers with little or no experience in Apollo or Astro, who will be using Astro to perform automatic Place & Route.

PREREQUISITES

No previous experience with Astro or Apollo needed. Previous experience with non-Synopsys automatic Place & Route tool is helpful, but also not required.

COURSE OUTLINE

第一部分

Unit 1: Introduction to Place and Route

  • Key concepts and steps associated with automatic place&route tools

Unit 2: Timing Setup

  • Attaching TLU/TLU-Plus capacitance models
  • Loading SDC constraints
  • Configuring the timing setup panel
  • Performing a "timing sanity check"

Unit 3: Placement

  • Pre-place optimization
  • Placement and post-place optimization
  • Congestion analysis
  • Handling scan chains
  • Soft and hard blockages

第二部分

Unit 4: Clock Tree Synthesis

  • Post-place optimization
  • Clock tree synthesis and optimization
  • Global Route?congestion

Unit 5: Design Setup

  • Creating a library
  • Attaching reference libraries
  • Reading?and expanding the netlist
  • Creating and binding a design cell
  • Hierarchy preservation

Unit 6: Floorplanning (Lecture)

  • Pad/Pin placement
  • Power/ground grid creation
  • Specifying the chip size and placement rows
  • Macro placement
  • Rectilinear block floorplanning

第三部分

Unit 6: Floorplanning (Lab)

?Unit 7: Routing

  • Power/ground routing
  • Clock net routing
  • Global routing
  • Track assignment
  • Detail routing
  • Search and repair
  • Post- and in-route optimization and CTO

Unit 8: Design for Manufacturing

  • Antenna fixing
  • Metal slotting and filling
  • DRC/LVS checking
  • Writing files for Static Timing Analysis sign-off
第二阶段


1.?Introduction to Physical Design
2.?Design and Timing Setup
3.?Placement
4.?Clock Tree Synthesis(CTS)
5.?Floorplanning
6.?RAM(Lecture)
7.?Routing
8.?Design for Manufacturing
9.?Customer Support