北 京:(010)51292078 上 海:(021)51875830
西 安:(029)86699670 南 京:(025)68662821
成 都:(028)68802075 武 汉:(027)50767718
广 州:(020)61137349 深 圳:(0755)61280252

课程表 联系我 在线聊 报名 付款 我们 QQ聊 切换宽屏
嵌入式OS--4G手机操作系统
嵌入式硬件设计
Altium Designer Layout高速硬件设计
开发语言/数据库/软硬件测试
芯片设计/大规模集成电路VLSI
其他类
 
   Synopsys IC Compiler培训
   班.级.规.模.及.环.境
       为了保证培训效果,增加互动环节,我们坚持小班授课,每期报名人数限5人,多余人员安排到下一期进行。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:深圳大学成教院/ 电影大厦(地铁一号线大剧院站)【北京分部】:福鑫大楼/北京中山 【武汉分部】:佳源大厦(高新二路) 【南京分部】:金港大厦(和燕路) 【成都分部】:领馆区1号(中和大道)
最近开课时间(连续班/周末班/晚班)
Synopsys IC Compiler培训:即将开课,详情请咨询客服。(请抓紧报名)
   实验设备
     ◆课时: 共6天,36学时

        
        ☆合格学员免费颁发相关资格证书,提升您的职业资质
        作为最早专注于嵌入式培训的专业机构,曙海嵌入式提供的证书得到本行业的广泛认
        可,学员的能力得到大家的认同

        ☆合格学员免费推荐工作
        ★实验设备请点击这儿查看★
   .最.新.优.惠.
       ◆在读学生凭学生证,可优惠500元。
   .质.量.保.障.

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、课程完成后,授课老师留给学员手机和Email,保障培训效果,免费提供半年的技术支持。
        3、培训合格学员可享受免费推荐就业机会。

  Synopsys IC Compiler培训

培训方式以讲课和实验穿插进行。

IC Compiler 1?

?

Overview
The workshop starts out with a high-level introduction to IC Compiler? graphical user interface, during which you will learn about the 3 core commands place_opt, clock_opt, and route_opt, as well as the more targeted atomic commands for more specific needs.

?


You will learn the details of design and timing setup, including setting up physical and logical libraries, importing design formats and floorplans, and setting the design up for proper timing analysis.

?


The workshop goes in-depth into using IC Compiler to perform placement, power optimization, scan optimization, clock tree synthesis and routing operations, including interleaved logic optimizations. You will also learn how to perform Design-for-Manufacturing tasks in IC Compiler, including antenna fixing, via doubling, metal filling, and critical area optimization. Another unit is dedicated to the topic of the new Multi Scenario capabilities, including how to apply SDC constraint files and operating conditions and how to perform analysis and optimization in parallel. The unit will also show you the advantages of using on-chip-variation mode.

?


The class explores the new Design Planning features in IC Compiler, which support full flat floorplanning including automatic macro placement, power network synthesis and analysis, and prototype route and optimization.

?


The workshop is accompanied by comprehensive hands-on labs, which provide an opportunity to apply all concepts covered during the lectures.

?


Objectives?
At the end of this workshop the student should be able to:?
?? Read necessary files required to run IC Compiler, resolving common errors/warnings?
?? Set up timing for analysis and optimizations?
?? Perform placement and optimizations?
?? Analyze congestion maps and reports?
?? Perform power optimization?
?? Perform scan reordering using ScanDEF?
?? Set up the design for clock tree synthesis?
?? Perform clock tree synthesis and post-CTS optimizations?
?? Analyze timing and clock specifications post CTS?
?? Route the design using the core and atomic commands?
?? Describe the need for Multi-corner, Multi-Mode analysis, and optimization?
?? Specify a scenario in IC Compiler?
?? Analyze the design for SI and perform SI optimizations?
?? Perform unconstrained and freeze silicon ECOs?
?? Perform antenna fixing, via doubling, metal filling, filler cell insertion, critical area optimization?
?? Create a flat floorplan including core and IO area setup, power network synthesis and routing, timing driven macro placement?
?? Perform power network analysis and virtual pad insertion?

?

Audience Profile
ASIC, back-end,?or?layout designers with experience in standard-cell-based automatic Place and Route.

?

Prerequisites
To benefit the most from the material presented in this workshop, students should have working knowledge of Physical Design using Physical Compiler, Astro,?or?any other physical design tool.

?

Course Outline?

?

Unit 1?
?? Introduction?
?? IC Compiler Basic Flow?
?? Design Planning?

?

Unit 2?
?? Placement, Power and Test?
?? Clock Tree Synthesis?

?

Unit 3?
?? Multi Scenario Optimization?
?? Routing and Signal Integrity?
?? Chip Finishing and DFM?